FPGA開發教程:[2]新建和編寫verilog程式?

在quartus中新建工程後,如何新增檔案,和輸入verilog程式,以及模組的呼叫。做一個具體的演示。

工具/原料

電腦

quartus

方法/步驟

按照上一節的講課內容新建工程。通過選單file-new--選擇verilog HDL File,在工程中新增verilog檔案。

FPGA開發教程:[2]新建和編寫verilog程式

在開啟的檔案中編輯輸入verilog程式。有兩種方式,一種是通過在空白處,右鍵滑鼠,選擇Insert Templates,可直接插入模版,然後進行編輯和修改。

FPGA開發教程:[2]新建和編寫verilog程式

另一種是不使用插入模版的方式,直接手動輸入。具體的verilog可參考有關資料,隨便找一個完整的module都可以做例子練習。

FPGA開發教程:[2]新建和編寫verilog程式

然後儲存檔案,儲存的檔名字必須和module名字相同,儲存時可以選擇新增檔案到工程,如果該步沒有選擇新增到工程,也可由在工程瀏覽器中右鍵新增檔案。

FPGA開發教程:[2]新建和編寫verilog程式

如果出現模組呼叫的情況時,可以把模組放在一個verilog檔案中,但儲存的檔名也要和其中一個module名字相同

FPGA開發教程:[2]新建和編寫verilog程式

編寫完成後,將其設定為頂層檔案,project --set as top level entiey.然後開始compile,compile後會出現report,可以通過報告瞭解資源使用情況,如果有了錯誤,進行修改重新compile。

FPGA開發教程:[2]新建和編寫verilog程式

教程, 檔案, 程式, 工程, 模組,
相關問題答案